Aldec Riviera-PRO破解版是领先的高级验证平台!它的功能非常的齐全,它的技术非常的先进,结合高性能的模拟引擎、高级调试功能以及对最新语言和验证库标准的支持,工程师们将能够在更短的时间内实现复杂的设计以及验证工作,对于各种复杂的系统的开发都将非
Aldec Riviera-PRO破解版是领先的高级验证平台!它的功能非常的齐全,它的技术非常的先进,结合高性能的模拟引擎、高级调试功能以及对最新语言和验证库标准的支持,工程师们将能够在更短的时间内实现复杂的设计以及验证工作,对于各种复杂的系统的开发都将非常的容易,成功率进一步提升,在准确率、工作效率方面也将获得有效的支持,您可以更准确的观察您的设计,对调试时间也能够最大限度的压缩,从而有更多的时间和精力投入到设计和更多想法的测试和实验上,获得理想的设计结果,具有自动化的优势,全新破解版本下载,含许可证,欢迎有需要的朋友下载体验~!
功能特色
1、高性能仿真
广泛的仿真优化算法,可在 VHDL、Verilog/SystemVerilog、SystemC 和混合语言仿真中实现最高性能
业界领先的容量和仿真性能为开发最复杂的系统提供了高回归吞吐量
支持最新的验证库,包括通用验证方法 (UVM)
支持 VHDL 验证库,包括 OSVVM 和 UVVM。
2、高级调试
集成的多语言调试环境可自动执行耗时的设计分析任务并快速修复错误
UVM工具箱,UVM图形,类查看器,事务流和数据,允许基于OVM / UVM类库的设计的可视化映射和调试
内置调试工具提供代码跟踪、波形、数据流、FSM 窗口、覆盖范围、断言和内存可视化功能
全面的基于断言的验证(SVA 和 PSL),可提高设计可观察性并缩短调试时间
高级代码和功能覆盖率功能以及覆盖率分析工具,用于快速基于指标的验证收敛
高效的验证流程,用户定义的测试计划与覆盖率数据库链接
绘图查看器和图像查看器工具,用于可视化表示大型数据数组。
3、业界最佳的投资回报率
Riviera-PRO使Aldec客户能够在更短的时间内以更低的成本交付创新产品
具有构建完整设计和验证流程所需的合作伙伴关系和集成
任何 Aldec 解决方案的部署都伴随着全面的培训和支持
新版特色
1、支持的标准LV LVT LVT-SV
VHDL IEEE 1076(1987、1993、2002、2008和2019)
Verilog®HDL IEEE 1364(1995、2001和2005)
SystemVerilog IEEE 1800™-2012(设计)
系统C™2.3.1 IEEE 1666™/TLM 2.0
SystemVerilog IEEE 1800™2012年(验证)--
验证库(OSVVM、UVVM)
通用验证方法
2、设计输入与设计管理
具有自动完成和代码模板的HDL编辑器
UVM生成器和寄存器模型生成器
设计和库管理员,文件浏览器
可自定义的GUI透视图
任务管理
宏、Tcl/Tk、Perl脚本支持
3、调试和分析
交互式代码执行跟踪
高级断点管理
加速波形查看器(ASDB)
模拟后调试
波形比较
绘图窗口和图像查看器
FSM调试/FSM工具箱
波形和调试选项中的断言
集成C/SystemC调试器--
X-Trace选项
数据流选项
类窗口--
UVM图形和工具箱
4、模拟/验证
单一或混合语言
Verilog编程语言接口(PLI/VPI)
VHDL程序接口(VHPI)
SystemVerilog IEEE 1800™DPI 2.0
价值更改转储(VCD和扩展VCD)支持
增量编译
多线程编译
仿真模型保护/库加密
IEEE 1735™互操作加密
Xilinx®SecureIP支持选项
英特尔®;FPGA语言中立库选项
仿真性能优化(Verilog/SystemVerilog,VHDL)
64位模拟
事务级可视化调试--选项
SFM(服务器场管理器)选项
HES™硬件辅助验证(加速和仿真)
5、断言和覆盖工具
PSL、SystemVerilog断言和功能覆盖(断言)选项
编码和切换覆盖率以及与UCIS兼容的Aldec覆盖率数据库选项
功能覆盖(OSVVM)选项
功能覆盖(SV覆盖)
6、外部模拟接口
Synopsys®SmartModels、SWIFT接口和LMTV选项
Synopsys®Verdi™FSDB记录
7、协同仿真接口
安捷伦SystemVue®
MathWorks Simulink®
MathWorks MATLAB®选项
Aldec QEMU Bridge(仅限Linux)
8、设计规则检查
ALINT-PRO™Aldec基本规则库选项
DO-254 VHDL或Verilog规则库选项选项
STARC®VHDL或Verilog规则库选项选项
重用方法手册(RMM)规则库
9、支持的平台
Windows®11/10/Server 2022、2019、2016、2012(64位)
Linux(64位
安装激活教程
1、在本站下载并解压,如图所示
2、安装程序, 勾选我接受协议
3、设置安装位置
4、安装完成,将修补的文件复制到Aldec安装目录(默认C:\Aldec\Riviera-PRO-2022.04-x64\bin),覆盖所有相同的文件。
5、将.dat复制到您的许可证变量点(例如C:\flexrm\rivieraall.dat),并设置环境ALDEC_LICENSE_FILE=C:\flexlm\rivieraall.dat
6、运行Riviera pro即可
闪电小编说明:
软件使用可轻松实现最复杂数字设计的设计和验证,提供高性能模拟器可用于开发复杂系统,您可以在这里自动执行耗时的设计分析任务并快速修复错误,有效提高设计可观察性并缩短调试时间,实现基于度量的快速验证关闭,满足了设计未来尖端FPGA和SoC设备的工程师的验证需求。利用Riviera-PRO的高性能混合HDL仿真引擎,使用波形查看器的高级调试环境,高级数据流,RTL层次结构,对象查看器以及验证覆盖率功能,如代码覆盖率和功能覆盖率。Riviera-PRO对SystemVerilog和UVM的全面支持有利于需要开发可重用和复杂测试平台环境的用户。