行业软件

Xilinx Vitis Core Development Kit 2023.1 (x64)

大小:未知

安全无毒免费软件无广告

分享

本地高速下载
Xilinx Vitis Core Development Kit 2023.1 (x64) 下载地址:
本地下载
热门游戏排行
         Xilinx Vivado Design Suite破解版是领先的生产力设计套件,Vivado IP 集成器提供图形化和基于 Tcl 的构造校正设计开发流程。在接口级别工作,设计团队可以快速组装复杂的系统,利用使用 Vitis HLS 工具、Vitis 模型编辑器、AMD IP 和联盟成员 IP 创建的 IP
Xilinx Vivado Design Suite破解版是领先的生产力设计套件,Vivado IP 集成器提供图形化和基于 Tcl 的构造校正设计开发流程。在接口级别工作,设计团队可以快速组装复杂的系统,利用使用 Vitis HLS 工具、Vitis 模型编辑器、AMD IP 和联盟成员 IP 创建的 IP 以及您自己的 IP。通过结合新改进的 Vivado IPI 和 HLS 工具,与 RTL 方法相比,客户可节省高达 15 倍的开发成本。应对当今复杂设备的验证挑战需要各种设计级别的大量工具和技术。Vivado ML 版在统一的环境中提供这些工具和技术,以加速模块和芯片级设计的验证。Vivado ML 版采用先进的机器学习算法,可提供最佳的实施工具,在运行时间和性能方面具有显著优势。借助用于合成、布局、布线和物理优化的一流编译工具,以及 AMD 编译的方法建议,设计人员可以加快设计周期的实施阶段。最新破解版下载,欢迎有需要的朋友下载体验!

2023.1新增功能

1、设备支持
生产就绪的设备
VersalAI核心器件:XQVC1702
2、模拟
代码覆盖率支持
更新了第三方工具的模拟工具
增强了对导出模拟流的支持
3、实施与综合
通过多线程生成比特流–扩展对Versal的支持
PnR期间灵活的MARK_DEBUG处理
新的放置后物理优化
VHDL-2019支持
4、时序收敛
智能设计运行(IDR)改进–适用于Versal和UltraScale+设计
报告QoR评估(RQA)增强功能
5、硬件调试
BSCANRetrobackforAXIDebugHubforVersal
DFX调试支持“插入”流–Versal
6、IP增强功能
PCIE子系统:
适用于Linux和DPDK的CPM5x86主机驱动程序
改进了QDMAv5.0中的性能
有线:
DCMAC、HSC、QSGMII生产在VersalPremium上
Versal400GRS-FEC与硬因特拉肯在MRMACFEC上
无线电:
RFSoCdfeIP-新的FTPRACHIP,更新了用于多频段的PRACHIP,评估工具EoU增强功能
减少宏/小基站的ORAN-PL资源
增强型多频段支持
记忆:
VersalHBMZEPublicAccess
HBM2E系统C模拟
基础架构,嵌入式,GT向导:
软CAN和AXI流FIFO上的ECC支持
多媒体:
显示端口2.1Tx
ZU+上的HDMI2.1合规性
MPICSIRXIP和DSPIP增强功能
VEK2上的新MIPICSI-280RX示例设计
VDU常规访问

软件优势

一、高级设计功能
1、加速设计迭代
高级抽象:以算法为中心的构建块,侧重于功能,为领域专家提供了加速设计探索所必需的易用性。
支持向量和矩阵:支持基于帧的算法设计,节省您迁移到中间低级模型进行实现的时间和精力。
特定于应用的库:性能优化的 DSP、计算机视觉、数学和线性代数库可作为模块在 AMD 设备上进行仿真和高性能实施。
将可合成的 C/C++ 导入为自定义模块:创建自己的自定义模块以进行仿真和代码生成的能力为设计差异化算法提供了更大的灵活性。
与 Simulink 无缝集成:与 Simulink 产品系列中的模块直接连接可实现系统级建模和仿真,并能够充分利用 Simulink 图形环境的激励生成和数据可视化功能。
整数、浮点和定点支持:支持 Simulink 中的原生浮点和整数数据类型,以及 Vitis HLS 支持的定点和半点数据类型。
2、将算法转换为架构
自动优化:在 Simulink 中分析您的算法规范并执行自动优化,以转向优化吞吐量、降低块 RAM 利用率并启用块并发执行的微架构。
加速 IP 创建:将您的仿真设计转换为可在 Vivado IP 集成器中使用的 RTL IP 封装,并利用其即插即用 IP 集成设计环境的所有优势进行复杂设计。
扩展到 DSP 系统生成器:利用模型编辑器的易用性和仿真速度的优势,用于部分设计,并将合成的 RTL 作为新的自定义模块导出到现有的 DSP 系统生成器设计中。
导出到 Vitis HLS:高级功能,通过自动生成进一步优化算法所需的一切(包括从仿真中记录的测试向量),在 Simulink 的图形环境之间提供链接,以设计、模拟和验证您的算法和 Vitis HLS。
用于映射 RTL 接口的用户界面:易于使用的图形界面将设计中的输入和输出映射到支持的 RTL 接口(AXI4-Lite、AXI4 流、AXI4 流视频、FIFO、块 RAM)和视频格式(AXI4-流视频)以进行实施。
自动测试台生成:自动记录仿真中的测试向量并生成测试台,以验证可执行设计和生成的代码之间的功能等效性。
二、验证
1、流量生成器
AXI 流量生成器,适用于 AXI4、AXI4 流、AXI4-精简版
2、AXI VIP & AXI Stream VIP
完整的 AXI 和 AXI 流协议检查器支持
3、Zynq 7000 VIP & Zynq US+ MPSoC VIP
支持 Zynq 7000 和基于 Zynq US+ MPSoC 的应用程序的功能仿真
4、versal 控制、接口和处理系统 VIP (CIPS VIP)
控制、接口和处理系统 (CIPS) IP 的功能仿真支持
三、实现
1、超大规模+设计的基准测试
基准测试结果使用探索策略和智能设计运行在 36 个 Ultrascale+ 客户设计上运行。对于这 36 种设计,IDR 运行在 WNS 方面平均提高了 10%。
2、全球自适应设计的基准
基准测试结果在 48 个 Versal 自适应客户设计上运行,同时使用探索策略和智能设计运行。对于这 48 种设计,IDR 运行在 WNS 中平均提高了 5%。
3、智能设计运行
“智能设计运行通过提供一种按钮方法来积极改善计时结果,从而改变了游戏规则。IDR 生成的 QoR 建议可带来最大的影响,从而获得专家级质量的结果并减少用户分析,特别是对于难以接近的设计。
4、设计优化阶段
设计优化阶段基于 QoR 建议构建。此阶段从那些时序失败的设计的实现运行开始。对于每个实施运行,都有基于 ML 的分析混合在一起,以生成和应用建议。
5、工具探索阶段
在刀具探索阶段,目标是从不同的刀具选项实现最大 QoR。此阶段使用三个使用 ML 策略的实现运行。
6、最后一英里计时收敛阶段
最后一英里时序收敛阶段侧重于清理关键的时序故障。此阶段利用时序收敛中的增量实现和增量 QoR 建议来收敛时序。

闪电小编说明:

凭借Vivado的超快高水平生产力设计,这种独特的组合被证明可以通过使设计师能够在高抽象水平上工作,同时促进设计重用,从而提高生产力。Vivado设计套件通过下一代C/C++和基于IP的设计,为实现超高生产力提供了一种新方法,用户可以实现比传统方法提高10-15倍的生产力。

展开

同类推荐

友情提醒:请点击右上角的微信菜单选择使用浏览器打开下载(因为微信中不提供下载功能),点击任意处可关闭该提示信息,谢谢~